img

Vào tháng 10 năm 2020, "Thái tử Samsung" Lee Jae-yong đã có một chuyến đi đặc biệt đến Hà Lan. Chuyến đi với mục đích rất rõ ràng: gặp gỡ các nhà điều hành ASML và thúc giục bên kia nhanh chóng giao 9 máy quang khắc bằng siêu tia cực tím (EUV) mà Samsung đã đặt hàng, để tập đoàn công nghệ Hàn Quốc có thể bắt kịp TSMC. Ba tháng sau, Lee Jae-yong bị buộc tội hối lộ và phải ngồi tù.

Nhưng cỗ máy quang khắc mà Lee Jae-yong đã mơ ước trước khi bị bắt giam là một thiết bị quan trọng để sản xuất chất bán dẫn. Nói dễ hiểu thì máy quang khắc chiếm tới 25% chi phí đầu tư thiết bị của toàn bộ dây chuyền sản xuất chất bán dẫn, và nó có thể “khắc” những con chip cao cấp bằng ánh sáng. Quy trình sản xuất chất bán dẫn của tập đoàn Đài Loan TSMC hiện là tốt nhất trên thế giới, và điều đó không thể tách rời với một thực tế là công ty này đang sở hữu những chiếc máy quang khắc EUV tiên tiến nhất trên thế giới.

Nhìn khắp thế giới, chỉ có ASML, nhà cung cấp hàng đầu thế giới về thiết bị quang khắc cho ngành công nghiệp bán dẫn, mới có thể cung cấp những cỗ máy này. Vai trò của công ty Hà Lan này quan trọng đến nỗi đại diện của công ty đã từng tuyên bố: “Nếu chúng ta không thể bàn giao những chiếc máy quang khắc này, định luật Moore sẽ dừng lại”.

Tuy nhiên, người tích cực và triệt để nhất trong việc săn đuổi TSMC không phải là Samsung, mà chính là Intel.

Kể từ khi CEO mới của Intel, Patrick Paul Gelsinger nhậm chức, ông đã tuyên bố sẽ đầu tư hơn 100 tỷ đô la Mỹ vào việc xây dựng các xưởng đúc ở Mỹ và Châu Âu, đồng thời mua lại công ty chuyên sản xuất mạch tích hợp Tower Semiconductor của Israel. Vào tháng 1 năm nay, Intel thậm chí đã vượt mặt TSMC để "giật" thành công chiếc máy quang khắc High-NA với khẩu độ số 0.55 mới nhất và đầu tiên của ASML. Tin tức quan trọng hơn sau đó là việc Intel sẽ mở giấy phép cho kiến trúc x86, nhằm mục đích giành lấy những khách hàng của TSMC đang áp dụng kiến trúc ARM.

Trong năm qua, Intel đã thường xuyên có những động thái nhằm loại bỏ TSMC và giành lại vị thế thống trị trong lĩnh vực sản xuất chất bán dẫn.

Liệu lần này, Intel có đánh bại được TSMC?

Cuộc chiến giành vị thế ngôi vua ngành bán dẫn giữa Intel và TSMC - Ảnh 1.
Cuộc chiến giành vị thế ngôi vua ngành bán dẫn giữa Intel và TSMC - Ảnh 2.

Để trả lời câu hỏi liệu có đánh bại được TSMC hay không, trước tiên chúng ta cần phải biết Intel đã rơi từ đỉnh núi xuống sườn núi như thế nào.

Năm 2014, Intel đang ở thời kỳ đỉnh cao, cho ra đời những con chip quy trình 14nm tiên tiến nhất thế giới lúc bấy giờ. Với lợi nhuận ngày càng tăng của ngành công nghiệp đúc, Intel đã bắt đầu tăng cường đầu tư vào lĩnh vực kinh doanh xưởng đúc, tự hào có mật độ bóng bán dẫn hàng đầu thế giới trong nhiều con chip khác nhau. Nhưng không mất nhiều thời gian để Intel bị liên minh TSMC và AMD vượt mặt.

Căn nguyên tất cả sự suy sụp của Intel nằm ở chính mô hình IDM mà họ đã kiên định theo đuổi trong nhiều năm.

Cái gọi là IDM đề cập đến ba liên kết cốt lõi của sản xuất chất bán dẫn: thiết kế, sản xuất, đóng gói và thử nghiệm, và toàn bộ chuỗi cung ứng ngành được quản lý bởi chính nó. Ưu điểm của mô hình này là bạn sẽ có năng lực sản xuất mạnh và có thể thực hiện các chiến lược riêng một cách toàn diện. Còn nhược điểm là công ty có mặt bằng sản xuất dài và đòi hỏi chi phí đầu tư cao.

Cuộc chiến giành vị thế ngôi vua ngành bán dẫn giữa Intel và TSMC - Ảnh 3.

Nhược điểm của chế độ này được thể hiện trong chính mô hình chiến lược nội bộ tick-tock của Intel. Để dễ hình dung thì mô hình này mô tả một chu kỳ thay đổi gồm 2 pha. Pha tick thể hiện việc thu nhỏ vi kiến trúc của công nghệ trước đó, và pha tock thể hiện cho một vi kiến trúc mới ra đời. Thông thường, thời gian cho mỗi pha là một năm cho tới 18 tháng.

Từ quan điểm của Intel, bộ phận thiết kế chịu trách nhiệm thiết kế và lập kế hoạch cập nhật quy trình, còn bộ phận công nghệ và sản xuất (TMG) chịu trách nhiệm thực hiện quy trình. Cho đến tiến trình 14nm, nhịp điệu của tick-tock tỏ ra vẫn còn ổn định và chặt chẽ. Nhưng sau đó, TMG bắt đầu tập trung nâng cao mật độ bóng bán dẫn hơn là quy trình sản xuất. Đó là lý do có sự xuất hiện của những "hiện tượng lạ" như 14nm +, 14nm ++, 14nm +++, trong khi việc sản xuất hàng loạt tiến trình 10nm lại xa vời.

Cuộc chiến giành vị thế ngôi vua ngành bán dẫn giữa Intel và TSMC - Ảnh 4.

Sự tắc nghẽn của TMG cũng đã dẫn đến năng lực sản xuất của các doanh nghiệp lớn lẽ ra phải chuyển sang tiến trình 10nm, bỗng dưng bị buộc phải thu hẹp lại trong 14nm. Việc này thậm chí còn buộc bộ phận sản phẩm CPU cốt lõi phải công khai tuyên bố trong trường hợp khẩn cấp, họ sẽ sẵn sàng thuê ngoài một số hoạt động sản xuất và sử dụng xưởng đúc của đối tác.

Tóm lại, bộ phận TMG, vốn đang bận rộn với việc tăng công suất trên quy trình 14nm, đã không còn thời gian rảnh để phát triển công nghệ cho quy trình 10nm và 7nm, khiến tiến bộ về quy trình công nghệ của Intel cũng bị tụt lại phía sau.

Cuộc chiến giành vị thế ngôi vua ngành bán dẫn giữa Intel và TSMC - Ảnh 5.

Trong khi đó, TSMC đang đi tiên phong trong mô hình đúc và "all in" vào quy trình sản xuất chất bán dẫn, bắt đầu vượt qua Intel.

Cú lỡ nhịp của Intel cũng đã cứu sống một đối thủ cũ của công ty là AMD. Trước năm 2016, AMD gần như đã bị Intel đánh bại hoàn toàn. Theo số liệu của Mercury Research, Intel chiếm 92,4% thị phần CPU cho máy tính và 99,2% thị phần CPU cho máy chủ, trong năm 2015. Nhưng vào thời khắc quyết định, nhận thấy quy trình của TSMC ngày càng trở nên tiên tiến hơn, AMD đã quyết định từ bỏ mô hình IDM, bán xưởng đúc của mình, sau đó đầu tư vào quy trình 7nm của TSMC.

Cuối cùng, với quy trình tiên tiến của TSMC và tỷ lệ hiệu suất theo giá thị trường, AMD đã phục hồi với thị phần khoảng 40% trên thị trường CPU vào năm 2021.

Đối mặt với tình thế lạc hậu, Intel cũng không thể ngồi yên. Năm 2020, CEO Bob Swan của Intel khi đó đã tổ chức lại bộ phận công nghệ để phân cấp, đồng thời thúc đẩy việc bãi bỏ mô hình IDM. Công ty cũng muốn chuyển giao quy trình sản xuất chất bán dẫn cho xưởng đúc TSMC, nhưng kế hoạch này đã bị lật đổ trước khi nó thực sự được triển khai.

Một năm sau, CEO mới của Intel, Patrick Paul Kissinger, nhậm chức. Ông quyết định mở rộng năng lực sản xuất và mở giấy phép kiến trúc x86 để giành lại khách hàng, nhắm mục tiêu hạ bệ TSMC.

Cuộc chiến giành vị thế ngôi vua ngành bán dẫn giữa Intel và TSMC - Ảnh 6.

Trên lý thuyết, Intel, với tư cách là một gã khổng lồ lâu năm trong lĩnh vực bán dẫn trong hơn 50 năm, có cả lợi thế về tích lũy công nghệ và tài nguyên.

Về công nghệ quy trình, hầu hết mọi người đều cho có ấn tượng chung rằng số nanomet trong quy trình càng nhỏ thì quy trình càng tiên tiến. Nhưng, chỉ số cốt lõi để đo lường thực sự công nghệ xử lý chip mà bạn nên nhìn vào lại là "mật độ bóng bán dẫn" - chỉ có mật độ càng cao thì sản phẩm mới càng cao cấp.

Do đó, khi nhìn từ bên ngoài, Intel có vẻ thua thiệt khi liên tục tự nghiền ép bản thân ở quy trình 14nm và trì hoãn việc sản xuất hàng loạt sản phẩm thuộc quy trình 10nm cho đến năm 2019, trong khi TSMC đã bước vào quy trình 7nm. Nhưng nếu so sánh mật độ bóng bán dẫn của cả hai, chúng sẽ kể một câu chuyện hoàn toàn khác.

Mật độ bóng bán dẫn của chip Intel 10nm là 100,8 MTr/mm2 (mỗi milimet vuông có 100,8 triệu transitor), còn mật độ bóng bán dẫn trên chip TSMC 7nm là 96,49 MTr/mm2. Như vậy, trình độ công nghệ quy trình 10nm của Intel có thể so sánh với 7nm của TSMC.

Nói cách khác, những gì bộ phận TMG của Intel làm được khi đó không hoàn toàn vô ích. Trình độ kỹ thuật của Intel vẫn thuộc top đầu trong ngành, và hoàn toàn có thể bắt kịp TSMC.

Cuộc chiến giành vị thế ngôi vua ngành bán dẫn giữa Intel và TSMC - Ảnh 7.

Về mặt tài nguyên, việc cấp mở giấy phép kiến trúc x86 cho bên ngoài cũng được xem là một động thái quyết liệt. Theo Bob Brennan, phó chủ tịch kỹ thuật của Bộ phận Dịch vụ Đúc (IFS) mới thành lập của Intel, thì đây là "lần đầu tiên trong lịch sử của Intel, cả lõi cứng và mềm của kiến trúc x86 sẽ được cấp phép cho những khách hàng muốn phát triển chip".

Nói một cách đơn giản, x86 là tiêu chuẩn kiến trúc chip chính thống, giữ vị trí tuyệt đối trên thị trường PC hiện nay, tương đương với vị trí của Microsoft Windows trong máy tính. Trên thế giới, chỉ có Intel, AMD và VIA Technologies (Đài Loan) có quyền sử dụng kiến trúc x86. Với kiến trúc này, Intel đang ở vị trí dẫn đầu trong tất cả các thị trường CPU.

Giờ đây, Intel đã quyết định bán "vé vào cửa" cho mọi người tiếp cận x86. Tất nhiên, việc đó sẽ đi kèm điều kiện rằng khách hàng muốn được cấp phép thì sau khi thiết kế chip, họ cần tìm xưởng đúc thuộc bộ phận bán wafer của Intel. Và điều này chắc chắn sẽ có tác động đến các đơn đặt hàng sử dụng kiến trúc ARM của TSMC.

So với các yếu tố nội tại, Intel cũng có một điều thuận lợi khác cho cuộc phản công này, chính là việc nó là một công ty Mỹ. Điều này sẽ mang lại cho Intel những đặc quyền kép: khách hàng cốt lõi và thiết bị quan trọng.

Cuộc chiến giành vị thế ngôi vua ngành bán dẫn giữa Intel và TSMC - Ảnh 8.

Về khách hàng cốt lõi, chính sách hỗ trợ của chính phủ Mỹ sẽ mang lại cho công ty nhiều khách hàng địa phương hơn. Ví dụ, sau khi Intel quay trở lại hoạt động kinh doanh xưởng đúc vào tháng 3 năm ngoái, nó đã nhận được đơn đặt hàng từ hai khách hàng chủ chốt là Qualcomm và Amazon vào tháng 7, từ đó tạo thành một liên minh kiểu Mỹ điển hình. Một tháng sau, chính phủ Mỹ trực tiếp chuyển giao đơn đặt hàng đúc tấm wafer giai đoạn đầu của cái gọi là "Các nguyên mẫu vi điện tử được bảo đảm nhanh – Thương mại” (RAMP-C) của Bộ Quốc phòng, cho một nhà máy của Intel.

Về thiết bị quan trọng, đó chính là những máy in quang khắc từ công ty Hà Lan ASML. Không có xưởng đúc wafer nào trên thế giới qua mặt được Mỹ để sở hữu thiết bị chủ chốt cho việc sản xuất chất bán dẫn này, bởi nhà cung cấp máy in quang khắc EUV duy nhất trên thế giới từ lâu đã nằm trong tầm kiểm soát của chính phủ Mỹ.

Trong quá khứ, chính phủ Mỹ đã phản đối gay gắt việc Nikon của Nhật Bản tham gia liên minh công nghệ EUV vì lo ngại rằng các công nghệ tiên tiến sẽ rơi vào tay các công ty nước ngoài. Vào thời điểm đó, ASML đến từ Hà Lan, vốn chỉ là một nhà sản xuất nhỏ, không chỉ hứa sẽ xây dựng một nhà máy ở Mỹ, mà còn đưa ra lời hứa rằng các bộ phận của Mỹ trong máy in quang khắc sẽ chiếm hơn 55%. Nhờ đó, công ty này đã có thể tham gia liên minh. Và ở cấp độ vốn, ba cổ đông hàng đầu của ASML cũng đều đến từ Mỹ.

Do đó, sẽ không có gì ngạc nhiên khi Intel có thể nhận được vị trí ưu tiên trong nguồn cung máy in quang khắc EUV mạnh nhất từ ASML. Ngược lại, các đối thủ của nó dù là TSMC hay Samsung thì mối quan hệ với chính quyền Mỹ cũng không thể so sánh bằng.

Mặc dù TSMC là một đồng minh được sự hỗ trợ của Mỹ, nhưng kể từ khi thành lập vào năm 1987 đến năm 1996, TSMC mới chỉ xây dựng một xưởng đúc wafer 180nm tại bang Washington. Mãi cho đến năm 2020, dưới sự ép buộc và dụ dỗ liên tục, công ty này mới quyết định xây dựng xưởng đúc 5nm ở Arizona.

Còn Intel, cho đến nay đã có hơn 10 xưởng đúc được xây dựng tại Mỹ. Vào tháng 6 năm ngoái, CEO Patrick Kissinger đã nói với giới truyền thông rằng chính phủ nước này nên tập trung hỗ trợ các nhà sản xuất chip trong nước như Intel, thay vì các công ty nước ngoài chỉ đặt nhà máy ở đây.

Cũng không thể không nhắc đến Samsung. Nếu Intel là con ruột và TSMC là con đỡ đầu, thì Samsung lại là đối thủ cạnh tranh trực tiếp.

Năm ngoái, chính quyền Mỹ đã yêu cầu các công ty bán dẫn như TSMC và Samsung phải giao nộp các thông tin bí mật cốt lõi của họ như danh sách khách hàng, doanh số bán hàng, mua sắm và hàng tồn kho, với lý do là làm minh bạch hơn chuỗi cung ứng chip. Yêu cầu này không khác gì xử phạt. Các chuyên gia trong ngành thậm chí còn tin rằng Mỹ có thể chuyển giao những tài liệu quý báu này cho Intel.

Tuy nhiên, dù có đầy đủ những lợi thế trên, việc Intel vượt lên dẫn đầu ngành bán dẫn vẫn chưa chắc đã xảy ra. Bởi nó vẫn còn những nỗi lo tiềm ẩn chưa được giải quyết.

Cuộc chiến giành vị thế ngôi vua ngành bán dẫn giữa Intel và TSMC - Ảnh 9.
Cuộc chiến giành vị thế ngôi vua ngành bán dẫn giữa Intel và TSMC - Ảnh 10.

Khi CEO mới của Intel, Patrick Kissinger nhậm chức, ông đã đưa ra nhiều tín hiệu cải tổ khác nhau. Nhưng vị giám đốc điều hành này cũng đã làm một điều bị coi là phớt lờ vết xe đổ trong quá khứ, đó là đưa trở lại mô hình IDM mà người tiền nhiệm của ông muốn từ bỏ.

Mặc dù kế hoạch IDM2.0 này sẽ thuê ngoài một số năng lực sản xuất tiên tiến từ các xưởng đúc của bên thứ ba, nhưng Intel sẽ tăng cường công nghệ và lộ trình nghiên cứu phát triển của mình, tiếp tục tự thân hoàn thành việc sản xuất hầu hết các sản phẩm. Điều này cũng có nghĩa là Intel sẽ tuân thủ mô hình IDM từ thiết kế, sản xuất đến đóng gói và thử nghiệm.

Bằng cách này, Intel sẽ phải đối mặt với hai nỗi lo tiềm ẩn. Một mặt, đó là vấn đề chậm chạp cố hữu của quy trình IDM trong quá khứ. Mặt khác, mô hình này cũng khiến Intel có “kẻ thù ở khắp mọi nơi” trên phạm vi toàn cầu.

Về vấn đề thứ nhất, IDM có nghĩa là khi cần nâng cấp quy trình thì phải nâng cấp đồng thời sơ đồ mạch, xưởng đúc wafer và nhà máy chịu trách nhiệm đóng gói và kiểm tra. Và có thể vẫn xảy ra vấn đề kém hợp tác giữa các bộ phận trong quá khứ.

Cuộc chiến giành vị thế ngôi vua ngành bán dẫn giữa Intel và TSMC - Ảnh 11.

Ngoài ra, về chi phí, nó chắc chắn sẽ cao hơn nhiều so với một TSMC chỉ chuyên tâm sản xuất. Bạn phải biết rằng quy trình bán dẫn càng tiên tiến, nó càng khó thực hiện và thiết bị lõi sẽ càng đắt tiền.

Lấy máy quang khắc - thiết bị cốt lõi của ngành sản xuất chất bán dẫn - làm ví dụ. Các bước của quy trình 20nm là khoảng 1.000, lên tới quy trình 7nm, tổng các bước trong quy trình tăng lên 1.500. Theo số liệu chuyên ngành, một dây chuyền sản xuất có công suất 50.000 wafer/tháng tiêu tốn khoảng 3,95 tỷ USD tiền đầu tư thiết bị ở quy trình 28nm, trong khi dây chuyền sản xuất quy trình 7nm với cùng công suất sẽ tiêu tốn tới 11,45 tỷ USD.

Có thể nói, việc đi theo mô hình IDM đã xác định rằng những chi phí khổng lồ này cần phải do Intel gánh chịu hoàn toàn.

Ngược lại, đối thủ của nó TSMC chỉ cần tập trung vào xưởng đúc và không cần lặp đi lặp lại quá trình thiết kế, đóng gói và thử nghiệm. Nhờ đó, nó sẽ có lợi thế về vốn rất lớn và có thể chạy nhanh hơn trên con đường chạm tới các quy trình sản xuất tiên tiến hơn. Năm 2021, chi tiêu vốn của TSMC vào khoảng 30 tỷ USD, trong khi Intel, công ty có doanh thu cao hơn, chỉ là 17,9 tỷ USD.

Tóm lại, lý do khiến TSMC mạnh là vì nó đã hình thành một chu kỳ "tích cực" để tiếp cận công nghệ quy trình bán dẫn tiên tiến. Từ đó, nó có khả năng thương lượng sản phẩm, rồi đầu tư toàn bộ lợi nhuận vào công nghệ quy trình tiên tiến hơn, do đó lại tiếp tục duy trì vị trí dẫn đầu về quy trình.

Còn Intel, vốn kiên định với mô hình IDM, sẽ khó đánh bại TSMC vì bất lợi về vốn và công nghệ.

Cuộc chiến giành vị thế ngôi vua ngành bán dẫn giữa Intel và TSMC - Ảnh 12.

Mặt khác, IDM không chỉ là một xưởng đúc mà còn là một mô hình cạnh tranh sản phẩm. Điều này khiến Intel có kẻ thù ở khắp mọi nơi trong ngành, và rất khó để các công ty khác tin tưởng giao sản phẩm cho nó.

Để hiểu rõ hơn, hãy xem xét mặt trận cạnh tranh đa ngành của Intel. Bạn sẽ thấy một câu chuyện kinh dị rằng Intel có quá nhiều kẻ thù lớn trong lĩnh vực chip.

Trong lĩnh vực PC, AMD và Intel đã chiến đấu với nhau trong 20 năm; trong lĩnh vực chip xử lý đồ họa, mặc dù Intel chủ yếu làm sản phẩm tích hợp và NVIDIA là sản phẩm độc lập, họ cũng là đối thủ của nhau; trong lĩnh vực lái xe tự động, Intel trực tiếp đối đầu với toàn ngành bằng bộ phận xe tự lái Mobileye; trong lĩnh vực mạch tích hợp cỡ lớn FPGA, đối thủ của nó là Xilinx (hiện đã được AMD mua lại); về xưởng đúc wafer Intel cũng có đối thủ mạnh nhất thế giới là TSMC...

Trong khi đó, TSMC thì hoàn toàn khác. Công ty này đơn giản chỉ chuyên sản xuất các tấm wafer. Và nó cũng là bạn cũ thân thiết của hơn một chục công ty bán dẫn hàng đầu như AMD, Nvidia và Qualcomm. Các lợi ích giữa hai bên không chỉ không có sự mâu thuẫn mà còn rất nhất quán. Do đó, TSMC dễ dàng nhận được sự ủng hộ và trợ giúp bởi các công ty hàng đầu thế giới này.

Tóm lại, TSMC không có kẻ thù, tất cả các công ty bán dẫn đều là khách hàng của nó, nhưng Intel thì ngược lại, hầu như tất cả các công ty đều là đối thủ. Để trở lại đỉnh cao trong hoàn cảnh như vậy, e rằng chặng đường phải đi còn khó hơn gấp nhiều lần so với quá trình nâng cấp quy trình bán dẫn.

Cuộc chiến giành vị thế ngôi vua ngành bán dẫn giữa Intel và TSMC - Ảnh 13.

Toàn bộ ngành công nghiệp sản xuất chất bán dẫn có một đặc điểm duy nhất, đó là sự phụ thuộc nhiều vào tài năng kỹ thuật. Về bản chất, sức mạnh về quy trình công nghệ của một công ty đúc được tích lũy bởi bí quyết của chính các kỹ sư.

Và tình trạng hiện tại của nhân tài trong ngành sản xuất chất bán dẫn ở Mỹ, đại bản doanh của Intel là gì? Câu trả lời là: Không lạc quan.

Cuộc chiến giành vị thế ngôi vua ngành bán dẫn giữa Intel và TSMC - Ảnh 14.

Trong những năm 1990, các công ty bán dẫn của Mỹ đã bước vào quá trình phân công lao động theo chiều dọc, đặc biệt là sau khi TSMC tạo ra mô hình phân công lao động trong sản xuất wafer. Nó khiến một số lượng lớn các công ty bán dẫn khổng lồ như Texas Instruments và AMD đã rút khỏi quy trình sản xuất wafer, và trọng tâm của ngành công nghiệp sản xuất chất bán dẫn đã bắt đầu chuyển dịch. Châu Á đã có hai đại diện là Hàn Quốc và Đài Loan.

Kết quả của việc thuê ngoài các xưởng đúc chính là đào thải các kỹ sư tài năng và chuyên nghiệp.

Một ví dụ kinh điển là sau khi TSMC tuyên bố vào năm ngoái rằng họ sẽ xây dựng một xưởng đúc với quy trình 5nm ở bang Arizona, công ty đã phải tự điều động 300 kỹ sư đến làm việc ở đó. Đồng thời, sau khi TSMC tuyển dụng hàng trăm kỹ sư tại Mỹ, họ phải gửi tất cả sang Đài Loan theo từng đợt để đào tạo lại.

Rõ ràng, trong bối cảnh các ngành nghề khác ở Mỹ như bất động sản, kinh doanh và đầu cơ chứng khoán, tài chính đang lên, sự thiếu tầm nhìn và quan tâm đến ngành công nghiệp chip là điều không thể tránh khỏi. Khi mà "giới tinh hoa Mỹ đều ở Phố Wall", thì tương lai của Intel vẫn chưa thể nào xán lạn.

Tham khảo iFeng


https://genk.vn/intel-hay-tsmc-ai-moi-thuc-su-la-ong-vua-nganh-ban-dan-20220305215324434.chn

Theo PV

Pháp luật và bạn đọc

Trở lên trên